Pages

Thursday 31 January 2013

Dear Readers


As recent as this week, i was struck with a bug which became a show stopper and took  more time
Google Provided the document on  this type of bug and not how to fix it , thought would share the solution/inputs which helped me.

Happy Reading,
-Hash

*****************************************************************************
UVM_WARNING @ 0: reporter [BDTYP] Cannot create a component of type
'abc' because it is not registered with the factory.
UVM_FATAL @ 0: reporter [INVTST] Requested test from command line
+UVM_TESTNAME=abc not found.
*****************************************************************************

Google says

*********************************************************************************
If the run_test() test name argument included in the top-module has not been registered in the UVM factory, or if the +UVM_TESTNAME test name has not been registered in the UVM factory, then UVM reports this error.
*******************************************************************************

Solution 


********************************************************************************
  1. We have to fix the warning message also to make sure the  simulation to run fine
  2. Check if you called the factory registration macros for the 'abc' class and constructed it by calling the function 'new()' inside the class
  3. Check & make sure that the file  included in the compile and that the name of the class is "abc"
  4. Check if `uvm_component_utils macro inserted in your "abc" test.
  5. The issue could be from the file where all the tests are defined say test_lib file.
*********************************************************************************
Related document

No comments:

Post a Comment