Pages

Wednesday 11 December 2013

Dear Readers

 Is it possible to covert all Errors into either Warnings/Info in UVM ?
 If Yes,lets try to covert all UVM_ERRORS to either Warnings/Info with a small example

Yes , it is possible per UVM1.1 Class reference guide _Final_06062011.pdf ,page no 62 


set_report_severity_override
function void set_report_severity_override(uvm_severity cur_severity,uvm_severity new_severity )

set_report_severity_id_override
function void set_report_severity_id_override(uvm_severity cur_severity,string id,uvm_severity new_severity )

These methods provide the ability to upgrade or downgrade a message in terms of severity given severity and id. An upgrade or downgrade for a specific id takes precedence over an upgrade or downgrade associated with a severity

Sample code coming up  EOB 12/15.

  -Happy Reading
Hash

No comments:

Post a Comment